From ed1e831de0efa7b6535a263cee4daac336d5cf19 Mon Sep 17 00:00:00 2001 From: Kyle Gunger Date: Thu, 18 May 2023 23:44:44 -0400 Subject: Rename file --- tnslc/tnslc_wrapped.tnsl | 28 ---------------------------- tnslc/vector_test.tnsl | 28 ++++++++++++++++++++++++++++ 2 files changed, 28 insertions(+), 28 deletions(-) delete mode 100644 tnslc/tnslc_wrapped.tnsl create mode 100644 tnslc/vector_test.tnsl diff --git a/tnslc/tnslc_wrapped.tnsl b/tnslc/tnslc_wrapped.tnsl deleted file mode 100644 index bfb41cc..0000000 --- a/tnslc/tnslc_wrapped.tnsl +++ /dev/null @@ -1,28 +0,0 @@ -:include "c_wrap.tnsl" -:include "vector.tnsl" - -/; main (int argc, ~~uint argv) [int] - # On windows, the first two arguments are passed in RCX and RDX, so we need to - # update their positions here or else tnsl will have garbage values in r8 and r9 - asm "mov r8, rcx" - asm "mov r9, rdx" - - # If on linux, you would use rdi and rsi instead of rcx and rdx, respectively - # simply comment out the bove asm, and uncomment the below lines - # asm "mov r8, rdi" - # asm "mov r9, rsi" - - Vector vec - vec.start(1) - uint8 a = _alert{0} - vec.push(~a) - vec.push(~a) - a = _alert{len _alert - 2} - vec.push(~a) - a = 0 - vec.push(~a) - _printf(vec.get(0)) - _printf(vec.get(0)) - vec.clean() - return 0 -;/ \ No newline at end of file diff --git a/tnslc/vector_test.tnsl b/tnslc/vector_test.tnsl new file mode 100644 index 0000000..bfb41cc --- /dev/null +++ b/tnslc/vector_test.tnsl @@ -0,0 +1,28 @@ +:include "c_wrap.tnsl" +:include "vector.tnsl" + +/; main (int argc, ~~uint argv) [int] + # On windows, the first two arguments are passed in RCX and RDX, so we need to + # update their positions here or else tnsl will have garbage values in r8 and r9 + asm "mov r8, rcx" + asm "mov r9, rdx" + + # If on linux, you would use rdi and rsi instead of rcx and rdx, respectively + # simply comment out the bove asm, and uncomment the below lines + # asm "mov r8, rdi" + # asm "mov r9, rsi" + + Vector vec + vec.start(1) + uint8 a = _alert{0} + vec.push(~a) + vec.push(~a) + a = _alert{len _alert - 2} + vec.push(~a) + a = 0 + vec.push(~a) + _printf(vec.get(0)) + _printf(vec.get(0)) + vec.clean() + return 0 +;/ \ No newline at end of file -- cgit v1.2.3